CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 仿真

搜索资源列表

  1. jiaotongdeng

    0下载:
  2. 用protues做的关于电子元件模拟交通灯控制的仿真-Protues do with analog electronic components on the simulation of traffic light control
  3. 所属分类:transportation applications

    • 发布日期:2017-04-06
    • 文件大小:112448
    • 提供者:周昊
  1. Traffic-lights

    0下载:
  2. 交通灯程序及其说明(附带有开发说明书)以及仿真电路图-Program and its descr iption of traffic lights (with a development manual), and circuit simulation
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:55403
    • 提供者:会困
  1. traffic-lights-

    0下载:
  2. 交通灯-双向六车道基于Proteus_仿真-Two-way traffic lights in the six traffic lanes
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-26
    • 文件大小:707
    • 提供者:linliyi
  1. LED-traffic-lights-

    0下载:
  2. 基于AVR+Proteus仿真 LED模拟交通灯-Simulation Based on AVR+ Proteus LED simulation to the traffic lights
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-23
    • 文件大小:13772
    • 提供者:李敬宇
  1. danpianjifangzhen

    0下载:
  2. 基于AT89S52的相关项目仿真,如数字音乐,交通灯,数字钟,抢答器-AT89S52-based simulation of related projects, such as digital music, traffic lights, digital clock, Responder, etc.
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:616897
    • 提供者:lingxinjingzi
  1. mega8

    0下载:
  2. 交通灯的protues仿真设计图,采用AVRmega16S设计 设计文件可以载入直接仿真-Simulation of traffic lights protues design, design documents with AVRmega16S design simulation can be loaded directly
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:21707
    • 提供者:刘帅
  1. jiaotongdong

    0下载:
  2. 详细描述了描述了交通灯的设计理念和方法,用VHDL实现了仿真结果是学习的好材料-Described in detail describes the design of traffic lights and method of the simulation results using VHDL implementation is good material for learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:667430
    • 提供者:卧虎
  1. 33333

    0下载:
  2. 定时器交通指示灯,利用定时器控制交通灯, 附带protuse仿真-Traffic light timer, using timer control traffic lights, with protuse simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:29843
    • 提供者:weihaiwen
  1. honglvdeng

    0下载:
  2. Verilog HDL作为一种规范的硬件描述语言,被广泛应用于电路的设计中。他的设计描述可被不同的工具所支持,可用不同器件来实现。利用Verilog HDL语言自顶向下的设计方法设计交通灯控制系统,使其实现道路交通的正常运转,突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点,并通过Xilinx ISE6.02和ModelSim5.6完成综合、仿真。此程序通过下载到FPGA芯片后,可应用于实际的交通灯控制系统中。-Verilog HDL as a standard hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:533
    • 提供者:zhaomin
  1. jiaotongdeng

    0下载:
  2. 交通灯控制器,可以实现东西南北时间显示、红绿黄等状态显示,带仿真图-Traffic light controller, the time East and West can show red, green and yellow status display with simulation map
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:48261
    • 提供者:sky
  1. proteufangzhenshili

    0下载:
  2. proteus中51单片机控制交通灯的仿真及论文 很好很强大-proteus 51 MCU control traffic lights in the paper a very powerful simulation and
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:184912
    • 提供者:ZHOUXIANG
  1. proteus51jiaotongdeng

    0下载:
  2. proteus中51单片机控制交通灯的仿真及论文,大家可以参考-proteus in 51 single-chip simulation and control of traffic lights paper, we can refer to
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:205806
    • 提供者:何成
  1. traffic-light-FSM

    0下载:
  2. 在ISE环境下用Verilog代码分别用一段式和三段式来实现交通灯,并产生仿真波形。-In the ISE environment, were used in Verilog code to implement a three-stage type and traffic lights, and generate the simulation waveforms.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1829350
    • 提供者:xuwen
  1. 8051Pproteus-05

    0下载:
  2. 《单片机C语言程序设计实训100例——基于8051+Proteus仿真》案例压缩包 第 01 篇 基础部分 05 LED模拟交通灯-" Microcontroller C Programming Language Training 100 cases- based on 8051+ Proteus simulation" case archive part of the first 01 base 05 LED traffic light simulation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-25
    • 文件大小:31761
    • 提供者:
  1. jiaotongdeng

    0下载:
  2. 基于单片机的交通灯电路及程序设计,包含Proteus仿真-Microcontroller based traffic light circuit and program design, including Proteus simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:146162
    • 提供者:dhg
  1. danpianji001

    0下载:
  2. 基于单片机的交通灯控制系统。里面有程序和资料,是我的课程作业,经仿真测试有效,对单片机学习有很大帮助,参考价值有不错。-Microcontroller based traffic light control system. There are programs and data, my course work, the simulation test the effect of single-chip learning of great help, there is a good referen
  3. 所属分类:SCM

    • 发布日期:2017-05-12
    • 文件大小:2794959
    • 提供者:lichao
  1. Traffic-light-design

    0下载:
  2. (1) 能显示十字路口东西、南北两个方向的红、黄、绿的指示状态; • 用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯,能实现正常的倒计时功能; • 用两组数码管作为东西和南北方向的到计时显示,显示时间为红灯55秒、绿灯50秒、黄灯5秒; *(2) 按S1键后,能实现特殊状态功能: • 显示到计时的两组数码管闪烁; • 计数器停止计数并保持在原来的状态; • 东西、南北、路口均显示红灯状态; • 特殊状态解
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:10245
    • 提供者:薛静
  1. Lamp

    0下载:
  2. 基于单片机STC89C52设计的交通灯程序,带有仿真图,功能强大,值得参考.-Microcontroller-based design of traffic lights STC89C52 program, with the simulation map, powerful, worthy of reference.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:56411
    • 提供者:徐志坚
  1. jiaotongdeng

    1下载:
  2. 51单片机设计交通灯(仿真+C程序),适合单片机初学者使用.-51 single-chip design traffic lights (simulation+ C program), suitable for beginners to use SCM.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:63606
    • 提供者:amy
  1. Traffic_lights

    0下载:
  2. 用VerilogHDL编程,在QuartusII软件仿真下实现交通灯的简单程序。-With VerilogHDL programming, software simulation in QuartusII traffic lights to achieve a simple procedure.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:971
    • 提供者:LT
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 17 »
搜珍网 www.dssz.com